2013-04-29
Applied Materials edged back ASML equipment faucet

Applied Materials (Applied Materials) in 2011, ranking the world’s semiconductor plant, gave way to Dutch lithography equipment maker supplier ASML, 2012 return to top spot again in 2012, Applied Materials 14.4% market share for the first, ASML 12.8% market share for the second, the power of tokyo Branch (tokyo Electron, TEL) 11.1% market share for the third, Lam Research Novellus Systems after the acquisition of market share of 7.4% for the fourth, 2012 the global semiconductor equipment market is shrinking, equipment spending the total amount of $ 37.8 billion, representing a decrease of 16% in 2011, in which lithography (lithography) and deposition (deposition) related to demand lower than the overall market, and the proportion of revenues from the top 10 global plants in 2008 rose to 61% 2012 70%, indicating a semiconductor plant is greater the greater the situation is quite clear.